Skip to main content

Direct Nanoimprinting for Micro- and Nanosystems

  • Chapter
  • First Online:
Bio and Nano Packaging Techniques for Electron Devices
  • 1866 Accesses

Abstract

This chapter focuses on direct-nanoimprinting as an innovative structuring technique for micro- and nano-opto-electro-mechanical systems (MOEMS/NOEMS). Direct-nanoimprinting is understood in this chapter as a structure replication process that uses nanoimprinting to create a functional device structure in a single step and that does not require further pattern transfer steps. The MOEMS and NOEMS discussed in this chapter comprise devices or systems being mainly in the micron resolution range but with nano-structures as key functional elements. Nanoimprinting allows the replication of these elements with a high resolution and high aspect ratios for a low to moderate price compared to other high-end lithography processes. Classical photolithography techniques might offer a similar or even higher resolution, however direct-patterning techniques as nanoimprinting allow the additional integration of three-dimensional (3D) structures in the same single pattern step. This would be much more challenging with classical photolithography techniques. As a main focus, this chapter discusses different approaches of nanoimprint molds.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Ahn, S.W., Lee, K.D., Kim, D.H., Lee, S.S.: Polymeric wavelength filter based on a bragg grating using nanoimprint technique. IEEE Photonic. Technol. Lett. 17(10), 2122–2124 (2005). doi:10.1109/LPT.2005.854404

    Article  CAS  Google Scholar 

  2. Anac, I., McCarthy, T.J.: Chemical modification of chromium oxide surfaces using organosilanes. J. Colloid Interface Sci. 331(1), 138–142 (2009). doi:10.1016/j.jcis.2008.11.013

    Article  CAS  Google Scholar 

  3. Bailey, T.C., Colburn, M., Choi, B.J., Grot, A., Ekerdt, J.G., Sreenivasan, S.V., Willson, C.G.: Step and flash imprint lithography, In: Alternative Lithography–Unleashing the Potentials of Nanotechnology, pp. 117–137. Plenum, New York (2003)

    Google Scholar 

  4. Balla, T., Spearing, S.M., Monk, A.: An assessment of the process capabilities of nanoimprint lithography. J. Phys. D Appl. Phys. 41(17), 174001 (10 pp) (2008). doi:10.1088/0022-3727/41/17/174001

    Google Scholar 

  5. Barbero, D.R., Saifullah, M.S.M., Hoffmann, P., Mathieu, H.J., Anderson, D., Jones, G.A.C., Welland, M.E., Steiner, U.: High resolution nanoimprinting with a robust and reusable polymer mold. Adv. Funct. Mater. 17, 2419–2425 (2007). doi: 10.1002/adfm.200600710

    Article  CAS  Google Scholar 

  6. Bayiati, P., Tserepi, A., Gogolides, E., Misiakos, K.: Selective plasma-induced deposition of fluorocarbon films on metal surfaces for actuation in microfluidics. J. Vac. Sci. Technol. A 22(4), 1546–1551 (2004). doi:10.1116/1.1764815

    Google Scholar 

  7. Behl, M., Seekamp, J., Zankovych, S., Torres, C.M.S., Zentel, R., Ahopelto, J.: Towards plastic electronics: Patterning semiconducting polymers by nanoimprint lithography. Adv. Mater. 148, 588 (2002). doi: 10.1002/1521-4095(20020418)<588::AID-ADMA588>3.0.CO;2-K

    Article  CAS  Google Scholar 

  8. Bhushan, B. (ed.): Springer Handbook of Nanotechnology. Springer, New York (2007)

    Google Scholar 

  9. Cao, H., Yu, Z., Wang, J., Tegenfeldt, J.O., Austin, R.H., Chen, E., Wu, W., Chou, S.Y.: Fabrication of 10 nm enclosed nanofluidic channels. Appl. Phys. Lett. 81(1), 174–176 (2002). 10.1063/1.1489102

    Google Scholar 

  10. Cattoni, A., Cambril, E., Decanini, D., Faini, G., Haghiri-Gosnet, A.: Soft UV-NIL at 20 nm scale using flexible bi-layer stamp casted on HSQ master mold. Microelecton. Eng. 86, 586–589 (2009)

    Article  Google Scholar 

  11. Chan-Park, M.B., Yan, Y., Neo, W.K., Zhou, W., Zhang, J., Yue, C.Y.: Fabrication of high aspect ratio poly(ethylene glycol)—containing microstructures by UV embossing. Langmuir 19, 4371–4380 (2003)

    Article  CAS  Google Scholar 

  12. Chang, C., Yang, S., Chu, M.: Rapid fabrication of ultraviolet-cured polymer microlens arrays by soft roller stamping process. Microelectron. Eng. 84(2), 355–361 (2007). doi:10.1016/j.mee.2006.11.004

    Article  CAS  Google Scholar 

  13. Chao, C.Y., Fung, W., Guo, L.J.: Polymer microring resonators for biochemical sensing applications. IEEE J. Quantum Electron. 12, 134–142 (2006). 10.1109/JSTQE.2005.862945

    Google Scholar 

  14. Chao, C.Y., Guo, L.J.: Polymer microring resonators fabricated by nanoimprint technique. J. Vac. Sci. Technol. B 20(6), 2862–2866 (2002). 10.1116/1.1521729

    Google Scholar 

  15. Chao, C.Y., Guo, L.J.: Biochemical sensors based on polymer microrings with sharp asymmetrical resonance. Appl. Phys. Lett. 83(8), 1527–1529 (2003). 10.1063/1.1605261

    Google Scholar 

  16. Chen, Y., Carcenac, F., Ecoffet, C., Lougnot, D.J., Launois, H.: Mold-assisted near-field optical lithography. Microelectron. Eng. 46, 69–72 (1999). 10.1016/S0167-9317(99)00017-9

    Google Scholar 

  17. Cheng, X., Guo, L.J.: A combined-nanoimprint-and-photolithography patterning technique. Microelectron. Eng. 71(3–4), 277–282 (2004). doi:10.1016/j.mee.2004.01.041

    Article  CAS  Google Scholar 

  18. Cheng, X., Guo, L.J.: One-step lithography for various size patterns with a hybrid mask-mold. Microelectron. Eng. 71(3–4), 288–293 (2004). doi:10.1016/j.mee.2004.01.042

    Article  CAS  Google Scholar 

  19. Chou, S.Y., Krauss, P.R., Renstrom, P.J.: Imprint of sub-25 nm vias and trenches in polymers. Appl. Phys. Lett. 67(21), 3114–3116 (1995). 10.1063/1.114851

    Google Scholar 

  20. Cygan, Z.T., Cabral, J.T., Beers, K.L., Amis, E.J.: Microfluidic platform for the generation of organic-phase microreactors. Langmuir 21(8), 3629–3634 (2005)

    Article  CAS  Google Scholar 

  21. Fader, R., Schmitt, H., Rommel, M., Bauer, A.J., Frey, L., Ji, R., Hornung, M., Brehm, M., Kraft, A., Vogler, M.: Novel polymers for UV-enhanced substrate conformal imprint lithography. In: Proceedings of the 37th International Conference on Micro and Nano Engineering (2011)

    Google Scholar 

  22. Finder, C., Beck, M., Seekamp, J., Pfeiffer, K., Carlberg, P., Maximov, I., Reuther, F., Sarwe, E.L., Zankovich, S., Ahopelto, J., Montelius, L., Mayer, C., Torres, C.M.S.: Fluorescence microscopy for quality control in nanoimprint lithography. Microelectron. Eng. 6768, 623–628 (2003)

    Google Scholar 

  23. Garidel, S., Zelsmann, M., Chaix, N., Voisin, P., Boussey, J., Beaurain, A., Pelissier, B.: Improved release strategy for UV nanoimprint lithography. J. Vac. Sci. Technol. B 25(6), 2430–2434 (2007). 10.1116/1.2806969

    Google Scholar 

  24. Gilles, S., Meier, M., Proempers, M., van der Hart, A., Kuegeler, C., Offenhaeusser, A., Mayer, D.: UV nanoimprint lithography with rigid polymer molds. Microelectron. Eng. 86, 661–664 (2009)

    Article  CAS  Google Scholar 

  25. Guo, L.J.: Recent progress in nanoimprint technology and its applications. J. Phys. D: Appl. Phys. 37(11), R123–R141 (2004). http://stacks.iop.org/0022-3727/37/R123

    Google Scholar 

  26. Guo, L.J.: Nanoimprint lithography: methods and material requirements. Adv. Mater. 19, 495–513 (2007)

    Article  CAS  Google Scholar 

  27. Guo, L.J., Cheng, X., Chao, C.Y.: Fabrication of photonic nanostructures in nonlinear optical polymers. J. Mod. Optic. 49(3), 663–673 (2002)

    Article  CAS  Google Scholar 

  28. Guo, L.J., Cheng, X., Chou, C.F.: Fabrication of size-controllable nanofluidic channels by nanoimprinting and its application for DNA stretching. Nano Lett. 4(1), 69–73 (2004). doi:10.1021/nl034877i

    Article  CAS  Google Scholar 

  29. Hirai, Y., Kikuta, H., Sanou, T.: Study on optical intensity distribution in photocuring nanoimprint lithography. J. Vac. Sci. Technol. B 21(6), 2777–2782 (2003). 10.1116/1.1629717

    Google Scholar 

  30. Hoffmann, T.: Viscoelastic properties of polymers. In: Alternative Lithography–Unleashing the Potentials of Nanotechnology, pp. 25–45. Plenum, New York (2003)

    Google Scholar 

  31. ITRS: Lithography. In: International Technology Roadmap for Semiconductors (2007 Edition) (2008)

    Google Scholar 

  32. ITRS: Lithography. In: International Technology Roadmap for Semiconductors (2009 Edition) (2009)

    Google Scholar 

  33. Ji, R., Hornung, M., Verschuuren, M., van de Laar, R., van Eekelen, J., Plachetka, U., Moeller, M., Moormann, C.: UV enhanced substrate conformal imprint lithography (UV-SCIL) technique for photonic crystals patterning in LED manufacturing. Microelectron. Eng. 87(5–8), 963–967 (2009). doi:10.1016/j.mee.2009.11.134

    Google Scholar 

  34. Kehagias, N., Reboud, V., Girolamo, J.D., Chouiki, M., Zelsmann, M., Boussey, J., Torres, C.S.: Stamp replication for thermal and UV nanoimprint lithography using a UV-sensitive silsesquioxane resist. Microelectron. Eng. 86, 776–778 (2009)

    Article  CAS  Google Scholar 

  35. Kettle, J., Coppo, P., Lalev, G., Tattershall, C., Dimov, S., Turner, M.: Development and validation of functional imprint material for the step and flash imprint lithography process. Microelectron. Eng. 85(5–6), 850–852 (2008). doi:10.1016/j.mee.2007.12.070

    Article  CAS  Google Scholar 

  36. Khang, D.Y., Kang, H., Kim, T.I., Lee, H.H.: Low-pressure nanoimprint lithography. Nano Lett. 4(4), 633–637 (2004). doi:10.1021/nl049887d

    Article  CAS  Google Scholar 

  37. Khang, D.Y., Lee, H.H.: Sub-100 nm patterning with an amorphous fluoropolymer mold. Langmuir 20(6), 2445–2448 (2004). doi:10.1021/la0358668

    Article  CAS  Google Scholar 

  38. Kirchner, R.: Nanoimprint technology - basics and applications. In: Presented at Summer School Microelectronics (Abu Dhabi - Dresden) (2010)

    Google Scholar 

  39. Kirchner, R.: On uv-nanoimprint-lithography as direct patterning tool for polymeric microsystems. Ph.D. Thesis, Technische Universität Dresden (2011).

    Google Scholar 

  40. Kirchner, R., Adolphi, B., Richter, K., Fischer, W.J.: Reduced PDMS swelling in toluene and acrylates by \({\rm C}_4{\rm F}_8\) plasma fluorination. In: Max Bergmann Symposium, Dresden (2008). (as supplemental sheet)

    Google Scholar 

  41. Kirchner, R., Landgraf, R., Bertram, M., Fischer, W.J.: Direct UV-nanoimprint of polymer microring resonators as optical transducers. In: Proceedings of the 2nd Workshop Mikro-Nano-Integration, pp. 153–158, (in English) VDE Verlag GmbH (2010). ISBN: 978-3-8007-3216-6

    Google Scholar 

  42. Kirchner, R., Ploetner, M., Fischer, W.J.: Imprinttemplate, Nanoimprintvorrichtung und Nanostrukturierungsverfahren. Patent DE 10.2010.043.059 A1 (2010)

    Google Scholar 

  43. Kirchner, R., Teng, L., Fischer, W.J.: Multi-usable, adhesively bonded UV-NIL templates. In: Proceedings of the 8th International Conference on Nanoimprint and Nanoprint Technology, pp. 52 (2009)

    Google Scholar 

  44. Kirchner, R., Teng, L., Lu, B., Adolphi, B., Fischer, W.J.: Degradation of perfluorotrichlorosilane antisticking layers: The impact on mold cleaning, UV-nanoimprinting, and bonded UV-nanoimprint molds. Jpn. J. Appl. Phys. 50, 06GK13 (8pp) (2011). 10.1143/JJAP.50.06GK13

    Google Scholar 

  45. Lee, J., Ali, A., don Kim, K., Kim, J.H., guen Choi, D., Choi, J.H., ho Jeong, J.: Plasma-assisted quartz-to-quartz direct bonding for the fabrication of a multilayered quartz template for nanoimprint lithography. J. Micromech. Microeng. 20(4), 045005 (2010). 10.1088/0960-1317/20/4/045005

    Google Scholar 

  46. Lee, J.N., Park, C., Whitesides, G.M.: Solvent compatibility of poly(dimethylsiloxane)-based microfluidic devices. Anal. Chem. 75, 6544–6554 (2003)

    Article  CAS  Google Scholar 

  47. Lee, T.Y., Guymonc, C.A., Jönsson, E.S., Hoyle, C.E.: The effect of monomer structure on oxygen inhibition of (meth)acrylates photopolymerization. Polymer 45, 6155–6162 (2004)

    Article  CAS  Google Scholar 

  48. Li, H.W., Huck, W.T.S.: Ordered block-copolymer assembly using nanoimprint lithography. Nano Lett. 4, 1633–1636 (2004)

    Article  CAS  Google Scholar 

  49. Long, B.K., Keitz, B.K., Willson, C.G.: Materials for step and flash imprint lithography (S-FIL). J. Mater. Chem. 17, 3575–3580 (2007). 10.1039/b705388f

    Google Scholar 

  50. Maekelae, T., Haatainen, T., Ahopelto, J., Isotalo, H.: Imprinted electrically conductive patterns from a polyaniline blend. J. Vac. Sci. Technol. B 19, 478 (2001). 10.1116/1.1354979

    Google Scholar 

  51. Maltabes, J.G., Mackay, R.S.: Current overview of commercially available imprint templates and directions for future development. Microelectron. Eng. 83, 933–935 (2006)

    Article  CAS  Google Scholar 

  52. Melin, J., Hedsten, K., Magnusson, A., Karlén, D., Rödjegård, H., Persson, K., Bengtsson, J., Enoksson, P., Nikolajeff, F.: Microreplication in a silicon processing compatible polymer material. J. Micromech. Microeng. 15, S116–S121 (2005). doi:10.1088/0960-1317/15/7/017

    Google Scholar 

  53. Mills, C.A., Martinez, E., Bessueille, F., Villanueva, G., Bausells, J., Samitier, J., Errachid, A.: Production of structures for microfluidics using polymer imprint techniques. Microelectron. Eng. 78–79, 695–700 (2005). 10.1016/j.mee.2004.12.087

    Google Scholar 

  54. Moser, D., Heinrich, M., Schuster, C., Klukowska, A., Schmidt, A.: Development and characterization of a Process for Microlense Fabrication by Lithography. In: MikroSystemTechnik Kongress, RIE and UV molding. (2009)

    Google Scholar 

  55. Muehlberger, M., Bergmair, I., Klukowska, A., Kolander, A., Leichtfried, H., Platzgummer, E., Loeschner, H., Ebm, C., Gruetzner, G., Schoeftner, R.: UV-NIL with working stamps made from Ormostamp. Microelectron. Eng. 86, 691–693 (2009)

    Article  CAS  Google Scholar 

  56. Odom, T., Love, J., Wolfe, D., Paul, K., Whitesides, G.: Improved pattern transfer in soft lithography using composite stamps. Langmuir 18(13), 5314–5320 (2002)

    Article  CAS  Google Scholar 

  57. Ofir, Y., Moran, I.W., Subramani, C., Carter, K.R., Rotello, V.M.: Nanoimprint lithography for functional three-dimensional patterns. Adv. Mater. 22, 3608–3614 (2010)

    Article  CAS  Google Scholar 

  58. Pisignano, D., Persano, L., Raganato, M., Visconti, P., Cingolani, R., Barbarella, G., Favaretto, L., Gigl, G.: Room-temperature nanoimprint lithography of non-thermoplastic organic films. Adv. Mater. 16(6), 525–529 (2004)

    Article  CAS  Google Scholar 

  59. Plssl, A., Kräuter, G.: Wafer direct bonding: tailoring adhesion between brittle materials. Mater. Sci. Eng. R 25(1–2), 1–88 (1999). 10.1016/S0927-796X(98)00017-5

    Google Scholar 

  60. Plueddemann, E.P.: Adhesion through silane coupling agents. J. Adhesion 2, 184–201 (1970). 10.1080/0021846708544592

    Google Scholar 

  61. Pocius, A.V.: Adhesion and Adhesives Technology—An Introduction, 2nd edn. Hanser, München (2002)

    Google Scholar 

  62. Pépin, A., Youinou, P., Studer, V., Lebib, A., Chen, Y.: Nanoimprint lithography for the fabrication of DNA electrophoresis chips. Microelectron. Eng. 61–62, 927–932 (2002). 10.1016/S0167-9317(02)00511-7

    Google Scholar 

  63. Quist, A., Pavlovic, E., Oscarsson, S.: Recent advances in microcontact printing. Anal. Bioanal. Chem. 381(3), 591–600 (2005)

    Article  CAS  Google Scholar 

  64. Reiter, G., Sharma, A., Casoli, A., David, M., Khanna, R., Auroys, P.: Thin film instability induced by long-range forces. Langmuir 15(7), 2551–2558 (1999)

    Article  CAS  Google Scholar 

  65. Resnick, D.J., Schmid, G., Miller, M., Doyle, G., Jones, C., LaBrake, D.: Step and flash imprint lithography template fabrication for emerging market applications. Proc. SPIE 6607, 66070T (2007). 10.1117/12.728943

    Google Scholar 

  66. Rogers, J.A., Nuzzo, R.G.: Recent progress in soft lithography. Mater. Today 8(2), 50–56 (2005)

    Article  CAS  Google Scholar 

  67. Rolland, J.P., Dam, R.M.V., Schorzman, D.A., Quake, S.R., DeSimone, J.M.: Solvent-resistant photocurable“liquid teflon” for microfluidic device fabrication. J. Am. Chem. Soc. 126, 2322–2323 (2004)

    Article  CAS  Google Scholar 

  68. Rolland, J.P., Hagberg, E.C., Denison, G.M., Carter, K.R., De Simone, J.M.: High-resolution soft lithography: enabling materials for nanotechnologies. Angew. Chem. Int. Ed. 43(43), 5796 (2004)

    Google Scholar 

  69. Ruchhoeft, P., Colburn, M., Choi, B., Nounu, H., Johnson, S., Bailey, T., Damle, S., Stewart, M., Ekerdt, J., Sreenivasan, S.V., et al.: Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography. J. Vac. Sci. Technol. B 17, 2965 (1999)

    Google Scholar 

  70. Scheer, H.C., Hirai, Y., Bogdanski, N., Nishihata, M.: Polymer elasticity effects during thermal nanoimprint. Der Andere Verlag (2008)

    Google Scholar 

  71. Scheer, H.C., Schulz, H.: A contribution to the flow behaviour of thin polymer films during hot embossing lithography. Microelectron. Eng. 56, 311–332 (2001)

    Article  CAS  Google Scholar 

  72. Scheer, H.C., Schulz, H., Hoffmann, T., Sotomayor-Torres, C.M.: Nanoimprint techniques. In: Nalwa, H.S. (ed.) Handbook of Thin Film Materials, p. 49. Academic Press, New York (2002)

    Google Scholar 

  73. Scheer, H.C., Wissen, M., Bogdanski, N., Möllenbeck, S., Mayer, A.: Potential and limitations of a T-NIL/UVL hybrid process. Microelecton. Eng. 87, 851–853 (2010)

    Google Scholar 

  74. Schift, H.: Nanoimprint lithography: an old story in modern times? A review. J. Vac. Sci. Technol. B 26(2), 458–480 (2008)

    Article  CAS  Google Scholar 

  75. Schift, H., Heydermann, L.J.: Alternative Lithography—Unleashing the Potentials of Nanotechnology, Chap. 4, pp. 47–76. Plenum, New York (2003)

    Google Scholar 

  76. Schleunitz, A., Schift, H.: Fabrication of 3D nanoimprint stamps with continuous reliefs using dose-modulated electron beam lithography and thermal reflow. J. Micromech. Microeng. 20, 095002 (2010)

    Google Scholar 

  77. Schmitt, H.: Untersuchung der UV-Nanoimprint-Lithografie als Strukturierungsverfahren für elektronische Bauelemente. Ph.D. Thesis, Universität Erlangen-Nürnberg (2008). (in German)

    Google Scholar 

  78. Schmitt, H., Duempelmann, P., Fader, R., Rommel, M., Bauer, A.J., Frey, L., adn A. Kraft, M.B.: Life time evaluation of PDMS stamps for UV-enhanced substrate conformal imprint lithography. In: Proceedings of the 37th International Conference on Micro and Nano Engineering, pp. 61–62 (2011)

    Google Scholar 

  79. Schmitt, H., Rommel, M., Bauer, A.J., Frey, L., Bich, A., Eisner, M., Voelkel, R., Hornung, M.: Full wafer microlens replication by UV imprint lithography. Microelectron. Eng. 87(5–8), 1074–1076 (2010)

    Article  CAS  Google Scholar 

  80. Schmitt, H., Zeidler, M., Rommel, M., Bauer, A.J., Ryssel, H.: Custom-specific UV nanoimprint templates and life-time of antisticking layers. Microelectron. Eng. 85(5–6), 897–901 (2008)

    Article  CAS  Google Scholar 

  81. Seekamp, J., Zankovych, S., Helfer, A.H., Maury, P., Torres, C.M.S., Bottger, G., Liguda, C., Eich, M., Heidari, B., Montelius, L., Ahopelto, J.: Nanoimprinted passive optical devices. Nanotechnology 13(5), 581–586 (2002). doi:10.1088/0957-4484/13/5/307

    Article  CAS  Google Scholar 

  82. Shafrin, E.G., Zisman, W.A.: Constitutive relations in the wetting of low energy surfaces and the theory of the retraction method of preparing monolayers. J. Phys. Chem. 64(5), 519–524 (1960). doi:10.1021/j100834a002

    Article  CAS  Google Scholar 

  83. Stewart, M.D., Wetzel, J.T., Schmid, G.M., Palmieri, F., Thompson, E., Kim, E.K., Wang, D., Sotoodeh, K., Jen, K., Johnson, S.C., Hao, J., Dickey, M.D., Nishimura, Y., Laine, R.M., Resnick, D.J., Willson, C.G.: Direct imprinting of dielectric materials for dual damascene processing. Proc. SPIEInt. Soc. Opt. Eng. 5751, 210 (2005)

    CAS  Google Scholar 

  84. Suh, D., Choi, S., Lee, H.: Rigiflex lithography for nanostructure transfer. Adv. Mater. 17(12), 1554–1560 (2005)

    Article  CAS  Google Scholar 

  85. Takahashi, K., Itoh, A., Nakamura, T., Tachibana, K.: Radical kinetics for polymer film deposition in fluorocarbon (\({\rm C}_4{\rm F}-8\), \({\rm C}_3{\rm F}_6\) and \({\rm C}_5{\rm F}_8\)) plasmas. Thin Solid Films 374(2), 303–310 (2000)

    Article  CAS  Google Scholar 

  86. Teng, L., Kirchner, R., Ploetner, M., Jahn, A., He, J., Hagemann, F., Fischer, W.J.: Fabrication of sub-500 nm source and drain electrodes for organic field effect transistors using UV nanoimprint lithography with low-cost silicon mold and lift-off process. In: 3rd GMM Workshop Mikro-Nano-Integration, vol. GMM Fachbericht 68, pp. 84–89, (in English) VDE Verlag GmbH (2011). ISBN 978-3-8007-3334-7

    Google Scholar 

  87. Tormen, M., Businaro, L., Altissimo, M., Romanato, F., Cabrini, S., Perennes, F., Proietti, R., Sun, H.B., Kawata, S., Di Fabrizio, E.: 3d patterning by means of nanoimprinting, x-ray and two-photon lithography. Microelectron. Eng. 73–74(1), 535–541 (2004). doi:10.1016/j.mee.2004.02.81

    Article  Google Scholar 

  88. Torres, C.M.S. (ed.): Alternative Lithography - Unleashing the Potentials of Nanotechnology. Plenum, New York (2003)

    Google Scholar 

  89. Truffier-Boutry, D., Zelsmann, M., Girolamo, J.D., Boussey, J., Lombard, C., Pépin-Donat, B.: Chemical degradation of fluorinated antisticking treatments in UV nanoimprint lithography. Appl. Phys. Lett. 94(4), 044110 (2009). 10.1063/1.3077172.

    Google Scholar 

  90. Tserepi, A.D., Vlachopoulou, M.E., Gogolides, E.: Nanotexturing of poly(dimethylsiloxane) in plasmas for creating robust super-hydrophobic surfaces. Nanotechnology 17, 3977–3983 (2006). 10.1088/0957-4484/17/15/062

    Google Scholar 

  91. Tsunozaki, K., Kawaguchi, Y.: Preparation methods and characteristics of fluorinated polymers for mold replication. Microelectron. Eng. 86, 694–696 (2009)

    Article  CAS  Google Scholar 

  92. Verschuuren, M.A.: Substrate conformal imprint lithography for nanophotonics. Ph.D. Thesis, Utrecht University (2010)

    Google Scholar 

  93. Wang, J., Sun, X., Chen, L., Chou, S.Y.: Direct nanoimprint of submicron organic light-emitting structures. Appl. Phys. Lett. 75(18), 2767–2769 (1999). 10.1063/1.125143

    Google Scholar 

  94. Wiles, K., Wiles, N., Herlihy, K., Maynor, B., Rolland, J., DeSimone, J.: Soft lithography using perfluorinated polyether molds and PRINT technology for fabrication of 3D arrays on glass substrates. In: Proceedings of the SPIE International Society for Optical Engineering 1999, vol. 6151, p. 61513 (2006)

    Google Scholar 

  95. Wissen, M., Schulz, H., Bogdanski, N., Scheer, H.C., Hirai, Y., Kikuta, H., Ahrens, G., Reuther, F., Pfeiffer, K.: UV curing of resists for warm embossing. Microelecton. Eng. 73–74, 184–189 (2004)

    Article  Google Scholar 

  96. Witucki, G.L.: A silane primer: chemistry and applications of aikoxy silanes. J. Coat. Technol. 65(822), 57–60 (1993)

    CAS  Google Scholar 

  97. Xia, Y., Whitesides, G.M.: Soft lithography. Annu. Rev. Mater. Sci. 28(1), 153–184 (1998)

    Article  CAS  Google Scholar 

  98. Zhao, Y., Cui, T.: Fabrication of high-aspect-ratio polymer-based electrostatic comb drives using the hot embossing technique. J. Micromech. Microeng. 13(3), 430–435 (2003). doi:10.1088/0960-1317/13/3/312

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Robert Kirchner .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Kirchner, R., Derix, J., Nocke, A., Landgraf, R. (2012). Direct Nanoimprinting for Micro- and Nanosystems. In: Gerlach, G., Wolter, KJ. (eds) Bio and Nano Packaging Techniques for Electron Devices. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-28522-6_10

Download citation

Publish with us

Policies and ethics